Списак HDL симулатора

С Википедије, слободне енциклопедије
(преусмерено са Листа HDL симулатора)

Листа HDL симулатора HDL симулатори су софтверски пакети који компајлирају и симулирају изразе написане на једном од језика за опис хардвера.

Историја[уреди | уреди извор]

HDL симулациони софтвер прошао је дуг пут развоја од свог порекла као појединачни производ који нуди једна компанија. Данас, симулатори су доступни од многих произвођача по различитим ценама, а има и оних бесплатних. За радну/личну употребу Aldec, Mentor, LogicSim, SynaptiCAD,TarangEDA и други нуде алатке за испод 5000 долара за Windows 2000/XP платформу. Пакети садрже симулатор са комплетним развојним окружењем: уређивач текста, прегледач таласа, претраживач РТЛ-нивоа. Поред тога, издања ограничене функционалности као што су Aldec и ModelSim симулатори могу се преузети бесплатно од њихових одговарајућих ОЕМ партнера (Microsemi, Altera, Lattice Semiconductor, Xilinx и остали). За оне који желе софтвер отвореног извора, ту су Icarus Verilog, GHDL између осталих.

Поред нивоа радне површине, симулатори на нивоу предузећа пружају брже време симулације, снажнију подршку за различите симулације у VHDL и Verilog језику, а најважније, проверени су за временски прецизну (SDF-забележено) симулацију нивоа улаза. Последња тачка је критична за ASIC тејпаут процес, када се дизајн базе података пусти у производњу. (Ливнице полупроводника предвиђају употребу алата одабраних са одобрене листе, како би дизајн купца добио статус одјаве. Иако купац није обавезан да обави било какву проверу одјаве, огромна цена наруџбине генерално је обезбедила темељну валидацију дизајна од стране купца.) Три главна симулатора нивоа улаза укључују Cadence Incisive Enterprise симулатор, Mentor ModelSim/SE и Synopsys VCS. Цена није отворено објављена, али сва три произвођача наплаћују $ 25,000- $ 100,000 УСД по особи, једногодишњу лиценцу засновану на времену.


FPGA добављачи не захтевају скупе симулаторе предузећа за њихов дизајн тока. Заправо, већина произвођача укључује ОЕМ верзију треће стране ХДЛ симулатора у свом дизајнерском пакету. Комплетан симулатор се добија тако што се узме почетни ниво или издање са ниским капацитетом и комплетира се са библиотекама уређаја које производи FPGA.За дизајн који циља ФПГА са високим капацитетом, препоручује се самостални симулатор, јер ОЕМ верзији може недостајати капацитет или брзина да ефикасно управља великим дизајном.

Испод је листа различитих ХДЛ симулатора.

Комерцијални симулатори[уреди | уреди извор]

Листа HDL симулатора
Назив симулатора Аутор/Компанија Језик Опис
Active-HDL/Riviera-PRO Aldec VHDL-1987,-1993,-2002,-2008,V1995,V2001,V2005,SV2009 Симулатор са комплетним дизајнерским окружењем намењеним ФПГА апликацијама. Aldec лиценцира Active-HDL ФПГА-продавцима, а основни мотор се може наћи у дизајнерским пакетима тих продаваца. Док Active-HDL представља јефтин производ, Aldec такође нуди и скупљи симулатор са високим перформансама под називом "Riviera-PRO". Са напредним могућностима отклањања грешака, циљ је верификација великих ФПГА и АСИЦ уређаја користећи формалне методологије верификације као што је потврда заснована на тврдњи.
Aeolus-DS Huada Empyrean Software Co.,Ltd V2001 Aeolus-DS је део Aeolus симулатора који је дизајниран да симулира круг мешовитих сигнала. Aeolus-DS подржава чисту Verilog симулацију.
CVC Tachyon Design Automation V2001, V2005 CVC је Verilog ХДЛ компилирани симулатор. CVC има способност симулације у интерпретираном или компилираном моду.
Incisive Enterprise Simulator ('big 3') Cadence Design Systems VHDL-2002, V2001, SV2009 Cadence је у почетку купио Gateway Design, чиме је купио Verilog-XL. Као одговор конкуренцији са бржим симулаторима, Cadence је развио свој сопствени компјутерски симулатор, NC-Verilog. Модерна верзија породице NCsim, под називом Incisive Enterprise Simulator, укључује Verilog, VHDL и SystemVerilog подршку. Такође пружа подршку за електронски верификациони језик и брзу SystemC симулацију кернела.
ISE Simulator Xilinx VHDL-93, V2001 Xilinx-ов симулатор долази у пакету са ISE Design Suite-ом. ISE Simulator (ISim) пружа подршку за симулацију језика мешовитог режима укључујући, али не ограничавајући се на симулацију дизајна циљаних за Xilinx, FPGA и CPLD
ModelSim and Questa ('big 3') Mentor Graphics VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012 Оригинални Modeltech (VHDL) симулатор је био први мешовити симулатор који је способан симулирати VHDL и Verilog дизајнерске ентитете заједно. ModelSim 5.8 је 2003. године био први симулатор који је почео да подржава функције Accellera SystemVerilog 3.0 стандарда. Mentor је 2005. године представио Questa за пружање високих перформанси Verilog и SystemVerilog симулације и проширио могућности верификације на напредне методологије као што су Assertion Based Verification и Functional Coverage. Данас је Questa водећи SystemVerilog и комбиновани симулатор који подржава комплетну методологију укључујући индустријске стандарде OVM и UVM. ModelSim је и даље водећи симулатор за FPGA дизајн.
MPSim Axiom Design Automation V2001, V2005, SV2005, SV2009 MPSim је брзи компајлирани симулатор са потпуном подршком за Verilog, SystemVerilog и SystemC. Укључује Designer, integrated Verilog и SystemVerilog окружење за дебаговање и има уграђену подршку за вишепроцесорску симулацију.
PureSpeed Frontline V1995 Први Verilog симулатор доступан за Windows оперативни систем. FrontLine је продат Avant!-у 1998. године, коју је Synopsys касније купио 2002. Synopsys је прекинуо да користи Purespeed у корист свог добро успостављеног VCS симулатора.
Quartus II Simulator (Qsim) Altera VHDL-1993, V2001, SV2005 Altera-ин симулатор у пакету са софтвером Quartus II дизајниран у издању 11.1 и новијим издањима. Подржава Verilog, VHDL и AHDL.
SILOS Silvaco V2001 Као један од ниских трошкова интерпретираних Verilog симулатора, SILOS III је уживао велику популарност деведесетих. Simucad-ова најновија верзија Silos-X продаје се као део алата.
SIMILI VHDL Symphony EDA VHDL-1993 Још један јефтин VHDL симулатор са графичким корисничким интерфејсом и интегрисаним таласним приказивачем. Њихова веб страница није ажурирана већ дуже време. Више не можете купити софтвер. Бесплатна верзија ради, али морате да захтевате лиценцу путем е-поште.
SMASH Dolphin Integration V1995, V2001, VHDL-1993 SMASH је мешани сигнал, вишејезични симулатор за IC или PCB дизајн. Користи SPICE синтаксу за аналогне описе, Verilog-HDL и VHDL за дигиталне, Verilog-A/AMS, VHDL-AMS и ABCD (комбинацију SPICE и C) за аналогно понашање и C за DSP алгоритме.
Speedsim Cadence Design Systems V1995 Симулатор заснован на циклусу оригинално је развијен у DEC. Програмери DEC су се окренули како би формирали Quickturn Design Systems. Quickturn је касније купио Cadence, који је 2005. године обуставио производ. Speedsim је представио иновативну слотну бит-резну архитектуру која је симулирала симулацију до 32 испитивања паралелно.
Super-FinSim Fintronic V2001 Овај симулатор је доступан на више платформи, тврдећи да је усклађен са ИЕЕЕ 1364-2001.
VCS ('big 3') Synopsys VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012 Првобитно су га развили John Sanguinetti, Peter Eichenberger и Michael McNamara под старт-ап компанијом Chronologic Simulation, коју је 1994. године преузео ViewLogic Systems. ViewLogic Systems је преузео Synopsys 1997. године. VCS је у континуираном активном развоју и развио је симулацију са компилираним кодом, нативе тестбенч и SystemVerilog подршку, као и обједињене технологије компајлера. Данас VCS пружа свеобухватну подршку за све функционалне верификације методологије и језике (укључујући VHDL, Verilog, SystemVerilog, Verilog AMS, SystemC, и C/C++) и напредне симулационе технологије, укључујући природну ниску снагу, x-пропагацију, анализу недоступности и фино-зрнаст паралелизам.
Verilogger Extreme, Verilogger Pro SynaptiCAD V2001,V1995 Verilogger Pro је јефтини интерпретирани симулатор заснован на ЕElliot Mednick's VeriWell кодној бази. Verilogger Extreme је новији симулатор компајлираног кода који је Verilog-2001 компатибилан и много бржи од Pro-a.
Verilog-XL Cadence Design Systems V1995 Оригинални Verilog симулатор, Gateway Design's Verilog-XL је први (и само, за одређени временски) Verilog симулатор који се квалификује за ASIC (валидацију) одјављивање. Након што је купио Cadence Design Systems, Verilog-XL се током година веома мало мењао, задржавајући интерпретирани језички механизам и замрзавајући језичке подршке на Verilog-1995. Cadence препоручује Incisive Enterprise Симулатор за нове дизајнерске пројекте, јер се XL више не развија активно. Упркос томе, XL наставља да се користи у компанијама са великим бројем Verilog кодних база. Многе раније Verilog кодне базе су симулиране само у Verilog-XL, због разлике у имплементацији других симулатора.
Veritak Sugawara Systems V2001 Он је нискобуџетни и налази се само на бази Windows-а. Има уграђени приказивач таласа и брзо извршење.
Vivado Simulator Xilinx VHDL-93, V2001 Xilinx Vivado Симулатор долази у склопу дизајна Vivado дизајна. То је симулатор компајлираног језика који подржава мешани језик, TCL скрипте, шифроване IP адресе и побољшану верификацију. Vivado је усмерен на Vivado више него на FPGA и полако замењује ISE као њихов главни ланац алата. Од средине 2014. године, Vivado покрива Vivado средњу скалу и велике FPGA, а ISE покрива средње величине и мање FPGA и све CPLD.
Z01X WinterLogic V2001,SV2005 Развијен као симулатор за грешке, али се такође може користити као логички симулатор.

Неки не-бесплатни комерцијални симулатори (као што је ModelSim) су доступни у студентским или евалуационим / демо издањима. Ова издања генерално имају многе функције онемогућене, произвољне границе дизајна симулације, али се нуде бесплатно.

Бесплатни и симулатори са отвореним кодом[уреди | уреди извор]

Листа Verilog симулатора
Назив симулатора Лиценца Аутор/компанија подржани језици Опис
GPL Cver GPL Pragmatic C Software V1995, minimal V2001 Ово је GPL бесплатан симулатор. Он је само симулатор. Овај симулатор није у потпуности компатабилан са IЕЕЕ 1364-2001 стандардом. Он не подржава генеричке и константне функције.

.

Icarus Verilog GPL2+ Stephen Williams V1995, V2001, limited V2005/V2009 Познатији и као iverilog. Добра подршка за Verilog 2005, укључује генеричке и константне функције.
LIFTING A. Bosio, G. Di Natale (LIRMM) V1995 LIFTING (LIRMM Fault Simulator) Је бесплатан симулатор који може да изврши и логику и симулацију грешка за појединачне/ вишеструке заглављене грешке и појединачне догађаје (SEU) на дигиталним колима описаним у Verilog-у.
OSS CVC Perl style artistic license Tachyon Design Automation V2001, V2005 CVC је Verilog HDL компилиран симулатор. CVC има могућност симулације у интерпретираном или компилираном моду. Изворни код доступан је под лиценцом Perl style artistic.
TkGate GPL2+ Jeffery P. Hansen V1995 Уредник дигиталних кола и симулатор са догађајима са tcl/tk GUI базираним на Verilog. Укључује Verilog симулатор Verga.
Verilator GPL3 Veripool Synthesizable V1995, V2001, V2005, SV2005, SV2009, SV2012 Ово је врло брз покретни бесплатни симулатор који компилира Verilog у C++/SystemC.
Verilog Behavioral Simulator (VBS) GPL Lay H. Tho and Jimen Ching V1995 Подржава функције, задатке и инстанцију модула. И даље недостаје много функција, али ово издање има довољно за VLSI ,за студенте да користе и уче Verilog.Подржава само концепте понашања Verilog-a и минималне симулацијске конструкције као што су "почетне" изјаве.
VeriWell GPL2 Elliot Mednick V1995 ?Овај симулатор је био комерцијални, али је недавно постао бесплатан.Усклађеност са 1364 није добро документована. Није у потпуности сагласан са IEEE 1364-1995.
Листа VHDL симулатора
Име симулатора Лиценца Аутор/компанија Подржани језици Опис
GHDL GPL2+ Tristan Gingold VHDL-1987, VHDL-1993, VHDL-2002, partial VHDL-2008 GHDL је комплетан VHDL симулатор који је базиран на GCC технологији.
Icarus Verilog GPL2+ Maciej Sumiński

Stephen Williams

VHDL предпоресор конвертује код у VHDL-у у Verilog код.
nvc GPL3 Nick Gasson VHDL-1993

Кључ[уреди | уреди извор]

Таг Опис
V1995 IEEE 1364-1995 Verilog
V2001 IEEE 1364-2001 Verilog
V2005 IEEE 1364-2005 Verilog
SV2005 IEEE 1800-2005 SystemVerilog
SV2009 IEEE 1800-2009 SystemVerilog
SV2012 IEEE 1800-2012 SystemVerilog
VHDL-1987 IEEE 1076-1987 VHDL
VHDL-1993 IEEE 1076-1993 VHDL
VHDL-2002 IEEE 1076-2002 VHDL
VHDL-2008 IEEE 1076-2008 VHDL

Референце[уреди | уреди извор]


Види још[уреди | уреди извор]